PCB manufacturing method: ntau lawm technology
PCB manufacturing method: ntau lawm technology

Video: PCB manufacturing method: ntau lawm technology

Video: PCB manufacturing method: ntau lawm technology
Video: 5 Yam: Txhob pub muaj nyob hauv Tsev (Tsis Zoo) 2024, Plaub Hlis Ntuj
Anonim

Hauv kev ntsuas thiab cov khoom siv hluav taws xob feem ntau, cov ntawv luam tawm hluav taws xob ua lub luag haujlwm tseem ceeb ua cov khoom siv hluav taws xob sib txuas. Qhov zoo ntawm cov cuab yeej thiab nws cov kev ua tau zoo yog nyob ntawm qhov ua haujlwm no. Cov txheej txheem niaj hnub ntawm kev tsim cov ntawv luam tawm hluav taws xob tau coj los ntawm qhov muaj peev xwm txhim khu kev koom ua ke ntawm lub hauv paus nrog cov txheej txheem siab ntom ntom, uas ua rau cov khoom tsim tau zoo dua qub.

PCB Txheej txheem cej luam

Kev ua haujlwm ntawm cov ntawv luam tawm Circuit Board
Kev ua haujlwm ntawm cov ntawv luam tawm Circuit Board

Peb tab tom tham txog cov khoom lag luam raws li lub hauv paus tiaj tus insulating, tus tsim uas muaj grooves, qhov, cutouts thiab conductive circuits. Cov tom kawg yog siv rau kev hloov cov khoom siv hluav taws xob, qee qhov tsis suav nrog cov khoom siv hauv pawg thawj coj li ntawd, thiab lwm qhov yog muab tso rau hauv nws raws li cov chaw ua haujlwm hauv zos. Nws yog ib qho tseem ceeb uas yuav tsum tau hais tias qhov kev tso kawmntawm cov lus hais saum toj no, cov khoom siv hluav taws xob thiab cov khoom ua haujlwm tau pib nthuav tawm nyob rau hauv cov khoom tsim raws li kev xav zoo-tsim hluav taws xob Circuit Court. Rau qhov ua tau ntawm yav tom ntej soldering ntawm cov khoom tshiab, metallized coatings yog muab. Yav dhau los, tooj liab deposition tshuab tau siv los ua cov txheej txheem zoo li no. Qhov no yog kev lag luam tshuaj uas ntau lub tuam txhab tau tso tseg niaj hnub no vim yog siv cov tshuaj phem xws li formaldehyde. Nws tau raug hloov los ntawm ntau tus phooj ywg ib puag ncig ntawm kev tsim cov ntawv luam tawm Circuit Court boards nrog ncaj metallization. Qhov zoo ntawm txoj hauv kev no suav nrog qhov muaj peev xwm ua tau zoo ntawm cov tuab tuab thiab ob sab boards.

khoom siv ua

Ntawm cov khoom siv tseem ceeb yog dielectrics (foiled lossis non-foiled), hlau thiab ceramic blanks rau lub hauv paus ntawm lub rooj tsavxwm, fiberglass insulating gaskets, thiab lwm yam. tsis tsuas yog los ntawm cov txheej txheem cov ntaub ntawv yooj yim rau cov hauv paus, muaj pes tsawg tus txheej txheem sab nraum zoov. Cov txheej txheem ntawm kev tsim cov ntawv luam tawm Circuit Board, tshwj xeeb, txiav txim siab cov khoom siv sib txuas rau cov khoom siv sib txuas thiab cov nplaum nplaum los txhim kho qhov adhesion ntawm qhov chaw. Yog li, epoxy impregnations yog dav siv rau gluing, thiab polymeric varnish compositions thiab films yog siv los tiv thaiv sab nraud influences. Daim ntawv, fiberglass thiab fiberglass yog siv los ua fillers rau dielectrics. Hauv qhov no, epoxyphenolic, phenolic thiabEpoxy resins.

Printed Circuit Board
Printed Circuit Board

Ib-sab luam tawm Circuit Board technology

Cov txheej txheem kev tsim khoom no yog ib qho ntawm ntau tshaj plaws, vim nws yuav tsum muaj peev txheej peev tsawg thiab yog tus cwj pwm los ntawm theem qis ntawm qhov nyuaj. Vim li no, nws yog dav siv nyob rau hauv ntau yam industries, qhov twg, nyob rau hauv lub hauv paus ntsiab lus, nws yog tsim nyog los npaj kev ua hauj lwm ntawm automated conveyor kab rau printing thiab etching. Kev ua haujlwm ntawm ib leeg-sab luam tawm Circuit Board tsim txoj kev suav nrog cov hauv qab no:

  • Npaj lub hauv paus. Daim ntawv dawb paug raug txiav rau qhov xav tau los ntawm kev txiav los yog xuas nrig ntaus.
  • Cov pob tsim nrog qhov khoob yog pub rau cov tswv yim ntawm cov kab ntau lawm ntawm cov khoom siv.
  • Ntxhais qhov khoob. Feem ntau ua los ntawm mechanical deoxidation.
  • Printing xim. Stencil thev naus laus zis yog siv los siv thev naus laus zis thiab cim cov cim uas tiv taus etching thiab kho raws li kev cuam tshuam ntawm hluav taws xob ultraviolet.
  • tooj liab ntawv ci etching.
  • Tshem tawm cov txheej tiv thaiv ntawm cov xim.

Yuav ua li no, ua haujlwm qis, tab sis pheej yig cov laug cam tau txais. Raws li ib tug consumable raw khoom, ib daim ntawv puag feem ntau yog siv - getinaks. Yog tias qhov tseem ceeb ntawm lub zog ntawm cov khoom, ces kev sib xyaw ntawm cov ntawv thiab iav hauv daim ntawv ntawm kev txhim kho CEM-1 qib getinax kuj tseem siv tau.

Cov khoom siv rau kev tsim cov ntawv luam tawm Circuit Board
Cov khoom siv rau kev tsim cov ntawv luam tawm Circuit Board

Txoj kev tsim tawm

Contours of conductorsRaws li cov txheej txheem no yog tsim los ntawm etching tooj liab ntawv ci nyob rau hauv lub hauv paus ntawm ib tug tiv thaiv duab nyob rau hauv ib tug hlau resist los yog photoresist. Muaj ntau yam kev xaiv rau kev siv cov thev naus laus zis thev naus laus zis, feem ntau ntawm kev siv cov yeeb yaj kiab qhuav photoresist. Yog li ntawd, txoj hauv kev no tseem hu ua cov txheej txheem photoresistive ntawm kev tsim cov ntawv luam tawm Circuit Board, uas muaj nws qhov zoo thiab qhov tsis zoo. Cov txheej txheem yog yooj yim heev thiab nyob rau hauv ntau yam kev hwm universal, tab sis cov laug cam ntawm qis functionality kuj tau txais ntawm cov zis ntawm lub conveyor. Cov txheej txheem thev naus laus zis yog raws li hauv qab no:

  • Cov ntawv ci dielectric tab tom npaj.
  • Raws li qhov tshwm sim ntawm txheej txheej, raug thiab txhim kho kev ua haujlwm, cov qauv tiv thaiv yog tsim nyob rau hauv photoresist.
  • Copper foil etching txheej txheem.
  • Tshem tawm cov qauv tiv thaiv hauv photoresist.

Nrog kev pab ntawm photolithography thiab photoresist, lub npog ntsej muag tiv thaiv yog tsim rau ntawm cov ntawv ci hauv daim ntawv ntawm cov qauv ntawm cov neeg xyuas pib. Tom qab ntawd, etching yog ua rau ntawm qhov chaw ntawm qhov chaw ntawm tooj liab, thiab cov yeeb yaj kiab photoresist raug tshem tawm.

Nyob rau hauv ib qho kev hloov pauv ntawm txoj kev rho tawm ntawm kev tsim cov ntawv luam tawm Circuit Court boards, photoresist yog txheej txheej ntawm cov ntawv ci dielectric, uas yav tas los machined los tsim lub qhov thiab pre-metallized nrog ib tug tuab txog li 6-7 microns. Etching yog ua raws cov cheeb tsam uas tsis muaj kev tiv thaiv los ntawm photoresist.

PCB kev tsim khoom
PCB kev tsim khoom

Ntxiv PCB tsim

NyobTxoj kev no tuaj yeem tsim cov qauv nrog cov conductors thiab qhov khoob hauv qhov ntau ntawm 50 txog 100 µm hauv qhov dav thiab 30 txog 50 µm hauv thickness. Ib txoj hauv kev electrochemical yog siv nrog galvanic xaiv deposition thiab qhov chaw nias ntawm cov khoom insulating. Qhov sib txawv tseem ceeb ntawm txoj kev no thiab qhov kev rho tawm yog cov hlau conductors siv, tsis etched. Tab sis cov txheej txheem tsim khoom ntxiv rau cov ntawv luam tawm Circuit Board muaj lawv tus kheej qhov sib txawv. Tshwj xeeb, lawv tau muab faib ua cov tshuaj ntshiab thiab galvanic txoj kev. Txoj kev siv tshuaj lom neeg feem ntau. Nyob rau hauv cov ntaub ntawv no, tsim ntawm conductive circuits nyob rau hauv lub active cheeb tsam muab rau cov tshuaj txo cov hlau ions. Qhov ceev ntawm cov txheej txheem no yog hais txog 3 µm / h.

Txoj kev tsim khoom ua ke zoo

Txoj kev no tseem hu ua semi-additive. Hauv kev ua haujlwm, cov ntawv ci dielectric yog siv, tab sis ntawm cov tuab me dua. Piv txwv li, foils ntawm 5 mus rau 18 microns tuaj yeem siv tau. Tsis tas li ntawd, kev tsim cov qauv ntawm tus neeg xyuas pib yog ua raws li cov qauv qub, tab sis feem ntau yog nrog galvanic tooj liab deposition. Qhov sib txawv tseem ceeb ntawm txoj kev tuaj yeem hu ua kev siv photomasks. Lawv tau siv nyob rau hauv kev sib xyaw ua ke zoo ntawm kev tsim cov ntawv luam tawm Circuit Court boards nyob rau theem ntawm pre-metallization nrog lub thickness txog li 6 microns. Qhov no yog ib qho txheej txheem hu ua galvanic tightening, nyob rau hauv uas cov khoom siv photoresistive yog siv thiab nthuav tawm los ntawm photomask.

PCB kev tsim khoom
PCB kev tsim khoom

Qhov zoo ntawm kev sib xyaw ua kePCB manufacturing

Cov thev naus laus zis no tso cai rau koj los tsim cov ntsiab lus ntawm daim duab nrog qhov tseeb ntxiv. Piv txwv li, nrog rau txoj kev zoo ntawm kev tsim cov ntawv luam tawm ntawm cov ntawv ci siv tau nrog lub thickness txog li 10 microns, nws muaj peev xwm kom tau txais kev daws teeb meem ntawm cov conductors txog li 75 microns. Nrog rau qhov zoo ntawm cov dielectric circuits, kev sib cais ntawm qhov chaw zoo dua nrog cov nplaum zoo ntawm cov ntawv luam tawm kuj tau lees paub.

pair nias method

thev naus laus zis yog ua raws li txoj hauv kev ntawm kev sib cuag interlayer siv lub qhov metallized. Nyob rau hauv tus txheej txheem ntawm kev tsim cov qauv ntawm conductors, sequential npaj ntawm ntu ntawm lub hauv paus yav tom ntej yog siv. Nyob rau theem no, ib qho kev ntxiv ib nrab rau kev tsim cov ntawv luam tawm Circuit Court boards yog siv, tom qab uas lub pob multilayer tau sib sau los ntawm cov cores npaj. Nruab nrab ntawm cov ntu muaj ib txheej tshwj xeeb ua los ntawm fiberglass kho nrog epoxy resins. Qhov no muaj pes tsawg leeg, thaum nyem, tuaj yeem ntws tawm, sau cov qhov metallized thiab tiv thaiv cov txheej txheem electroplated los ntawm kev tawm tsam tshuaj thaum lub sijhawm ua haujlwm thev naus laus zis ntxiv.

PCB manufacturing technologies
PCB manufacturing technologies

PCB txheej txheej txheej

Lwm txoj kev, uas yog raws li kev siv ntau ntu ntawm cov ntawv luam tawm los tsim cov qauv kev ua haujlwm nyuaj. Lub essence ntawm txoj kev yog nyob rau hauv lub successive imposition ntawm txheej ntawm rwb thaiv tsev nrog conductors. Nyob rau tib lub sijhawm, nws yog ib qho tsim nyog los xyuas kom meej cov kev sib cuag txhim khu kev qha ntawm cov khaubncaws sab nraud povtseg, uas yog guaranteedgalvanic tooj liab build-up nyob rau hauv cov cheeb tsam nrog insulating qhov. Ntawm qhov zoo ntawm hom qauv no ntawm kev tsim cov multilayer princuitity ntawm cov txheej txheem ntawm kev ua haujlwm ntawm cov khoom siv nrog kev ua kom sib dhos yav tom ntej. Ntxiv mus, cov qualities yog khaws cia nyob rau hauv tag nrho cov txheej ntawm cov qauv. Tab sis kuj tseem muaj qhov tsis zoo ntawm txoj kev no, qhov tseem ceeb ntawm cov neeg kho tshuab siab ntawm cov khaubncaws sab nraud povtseg yav dhau los thaum siv cov khoom tom ntej. Vim li no, thev naus laus zis raug txwv nyob rau hauv qhov siab tshaj plaws ntawm cov txheej txheem thov - mus txog 12.

Zaj lus

PCB kho
PCB kho

Raws li qhov yuav tsum tau muaj rau cov txuj ci thiab kev ua haujlwm ntawm cov khoom siv hluav taws xob niaj hnub no nce, cov peev txheej thev naus laus zis hauv cov cuab yeej ntawm cov tuam txhab tsim khoom lawv tus kheej nce ntxiv. Lub platform rau kev siv cov tswv yim tshiab yog feem ntau tsuas yog lub rooj tsav xwm luam tawm. Kev sib xyaw ua ke ntawm kev tsim cov khoom no qhia txog qib ntawm kev tsim khoom niaj hnub no, ua tsaug rau cov neeg tsim khoom tuaj yeem tsim cov khoom siv xov tooj cua ultra-complex nrog cov kev teeb tsa tshwj xeeb. Lwm qhov yog tias lub tswv yim ntawm kev loj hlob ntawm txheej txheej tsis yog ib txwm ua rau nws tus kheej hauv kev xyaum hauv cov ntawv thov hauv xov tooj cua yooj yim tshaj plaws, kom deb li deb tsuas yog ob peb lub tuam txhab tau hloov mus rau serial ntau lawm ntawm cov laug cam. Ntxiv mus, qhov kev thov rau cov kab hluav taws xob yooj yim nrog ib qho kev tsim ib sab thiab siv cov khoom siv pheej yig tseem nyob.

Pom zoo: